//Template of testbench `timescale 1ns/1ns `define CLOCKS 16 module _; //////////////////////////////////////////////////// // Registers reg Clock = 0; reg Reset = 0; reg ClockEn = 0; //////////////////////////////////////////////////// // Net //////////////////////////////////////////////////// // Instansiation //Global Reset /* GSR GSR_INST(.GSR(1'b1)); PUR PUR_INST(.PUR(1'b1)); */ task Pulse; begin #1; Clock = ~Clock; #1; Clock = ~Clock; end endtask initial begin #1 Reset = 1'b1; #1 Reset = 1'b0; repeat(`CLOCKS)Pulse; $finish; end endmodule
atwikiでよく見られているWikiのランキングです。新しい情報を発見してみよう!
最近アクセスの多かったページランキングです。話題のページを見に行こう!